現在位置 : ホーム  > PIXELA Technical Expertise  > Vivado/Vitis  > Programable LogicでLEDを点滅してみる

Windows版Vivado Design Suite / Vitis IDEのインストール

公開日:2023年7月20日

Windows10 に、Windows版 ザイリンクス統合インストーラー2022.1を使って、Vivado, Vitisをインストールする手順を説明します。

(windows11は、2022.2以降のver.からの対応となっています。)

PCのスペックについて

Windows版で使用するPCのスペックですが、CPUの種類やメモリ容量についての具体的な記載を公式ページからみつけることができませんでした。参考までに、下記仕様のノートPCで、

  • CPU: core i7(7世代)
  • RAM: 32GB
  • HDD: 1TB( この内、下記インストールで約100GBを消費)

ZYBO Z7で、問題なく使用できました。

インストールの流れ

インストール手順の大まかな流れは以下のとおりです。

  1. xilinxアカウントの作成
  2. インストーラーのダウンロード
  3. インストーラーの起動
  4. 必要事項の設定を済ませてインストールの開始

xilinxアカウントの作成

Vivado/Vitisの無償版を使用するためには、事前にXilinxにユーザー登録しておく必要があります。

https://japan.xilinx.comを開いて、ページ上部にある マークをクリックし、アカウントの登録画面へとすすみ自分のアカウントを作成します。

xilinxアカウントの登録が終わりましたら、https://japan.xilinx.com のページ上部にある マークから登録したアカウントでログインします。

ログイン画面トップの"製品サポート"の中にある"ダウンロード"から、ダウンロードページへとすすみます。

インストーラーのダウンロード

ダウンロードページで、"Vivado(HW開発者)"タブをクリックすると、各OSに対応した各バージョンのザイリンクス統合インストーラーをダウンロードすることができます。

ザイリンクス統合インストーラーを使うと、Vivado, Vitis, petalinux等各種、Xilinxのツールをダウンロードすることができます。

インストーラーのバージョンによる違いは、

  • 2020.3までは、Vivado HLxエディション
  • 2021.1以降は、Vivado MLエディション

です。

MLは、機械学習(Machine Learning)のことで、HLxと較べコンパイル等の開発時間を大幅に短縮しているとのことです。(*Jun 23, 2021 xilinxプレスリリースアーカイブ*)

ザイリンクス統合インストーラー2022.1:Windows 用自己解凍型ウェブインストーラーをクリックします。

一旦、Download Centerへ飛ぶので、米印のついている項目にユーザー登録した内容を記入しDownloadを開始します。

米印への記入が済みましたら、ページ下のダウンロードボタンを押してダウンロード開始します。

インストーラーの起動

ダウンロードしたザイリンクス統合インストーラーの実行ファイルを 右クリックし、管理者として実行 します。

インストーラーが起動すると、"Welcome"画面が開きます。赤線で囲った箇所には、Nextボタンを押す前に、アンチウィルスソフトと、スリープ等の省電力モードを無効に設定することを奨めています。インストール時間にかかわるとのこと。

"Select Install Type" 画面に進みます。E-mailアドレスとパスワードには、xilinxアカウントに登録したものを記入します。デフォルトでチェックしてある"Download and Install Now"を選択した状態で、Nextを押します。

"Select Install Type"画面では、"vitis"を選んでおくと、Vivadoもあわせてダウンロードすることができます。Nextを押します。

"Vitis Unified Software Platform"画面では、デフォルトの設定のまま進めても構いませんが、ディスク容量を節約したい場合は、最低限、"DocNav", "Zynq-7000", "Cable Drivers"を選択すれば、Zybo Z7開発基板を使うことができます。

選択しなかった項目は、インストール後に追加でインストールすることも可能です。Nextを押します。

"Accept License Agreements"画面では、すべての項目のAgreeにチェックを入れると、次に進むことができます。

"Select Destination Directory"で、インストール先を選択します。変なパス(長いフォルダ名等)へインストールしてしまうと、後々トラブルの原因となる可能性もありますので、特に問題なければデフォルトのまま、Nextを押して次に進みます。

"Installation Summary"で、これまで入力してきたインストールの設定が表示されます。内容を確認して問題なければ、Installを押して、インストールを開始します。

"Installation Progress"画面。ダウンロード&インストールが開始します。進捗がバーで表示されます。インストール完了までに2,3時間くらいかかりますので、気長に待ちましょう。

無事インストールが完了しましたら、スタート画面に Xilinx Design Tools フォルダが作成され、先の手順でインストールしたVivado 2022.1,Xilinx Vitis 2022.1 等が入っていることを確認します。

文中に記載されている各種名称、会社名、商品名などは各社の商標もしくは登録商標です。

PIXELA Technical Expertise

当社が技術学習するための情報を体系的に整理したものです。多くのエンジニアの一助になればと考え公開しています。

Vivado/Vitis 記事一覧

Vivado/Vitisをインストールする

Vivadoに触れてみる